Search found 1987 matches

by Peter
Tue Mar 05, 2024 3:31 pm
Forum: General QL Chat
Topic: Anouncement for the 40th Anniversary of the Sinclair QL in Dormagen (Germany)
Replies: 24
Views: 2076

Re: Anouncement for the 40th Anniversary of the Sinclair QL in Dormagen (Germany)

At least Graeme is from Great Britain if he can make it. I'm also trying to convince someone else.
What I like about this anniversary, is that nearly all participants are still active.
by Peter
Tue Mar 05, 2024 3:12 pm
Forum: Hardware
Topic: CPLD replacement for ZX8301
Replies: 230
Views: 51021

Re: CPLD replacement for ZX8301

martyn_hill wrote: Mon Mar 04, 2024 9:58 pm I guess you meant '...rise and fall times are too low/fast...' :-)
Yes of course, thank you. Original post editet now.
by Peter
Mon Mar 04, 2024 9:22 pm
Forum: Hardware
Topic: CPLD replacement for ZX8301
Replies: 230
Views: 51021

Re: CPLD replacement for ZX8301

I hate to say it, but GoldCard and SuperGoldcard rise and fall times are too fast for the QL mainboard, causing ringing and crosstalk beyond the TTL thresholds. This works with the slow logic of the original, but could be a problem with modern CPLDs. Also it could be that your timings are simply too...
by Peter
Mon Mar 04, 2024 9:06 pm
Forum: General QL Chat
Topic: Anouncement for the 40th Anniversary of the Sinclair QL in Dormagen (Germany)
Replies: 24
Views: 2076

Re: Anouncement for the 40th Anniversary of the Sinclair QL in Dormagen (Germany)

So now we have Nasta, Wolfgang, Jan, Tobias, Stephan, Oliver, Fabrizio, Urs, Markus, Detlef, Diane, Per (likely), Graeme (possible), myself ... I'm sure I forgot someone. And these are only the well known names... I suspect this will become the most high-carat meeting in two decades :D
by Peter
Mon Mar 04, 2024 8:48 pm
Forum: General QL Chat
Topic: Anouncement for the 40th Anniversary of the Sinclair QL in Dormagen (Germany)
Replies: 24
Views: 2076

Re: Anouncement for the 40th Anniversary of the Sinclair QL in Dormagen (Germany)

Nasta wrote: Sun Mar 03, 2024 10:45 pm With some luck I will be there!
Wowwwwww! Nasta! That's fantastic!
by Peter
Sat Mar 02, 2024 11:24 am
Forum: General QL Chat
Topic: Software updates
Replies: 21
Views: 1213

Re: Software updates

Derek_Stewart wrote: Fri Mar 01, 2024 7:35 pm Unfortunatley, PS/2 mouse and splitters vary in wiring configuration, see in another thread about this,
Can not be the problem here. Wrong wiring would permanently fail, not just rarely.
by Peter
Thu Feb 29, 2024 10:45 pm
Forum: Hardware
Topic: CPLD replacement for ZX8301
Replies: 230
Views: 51021

Re: CPLD replacement for ZX8301

Does GC/SGC takes QL's rom address space? I think it does. What do you mean by "take"? It does access the ROM address space 0 ... $FFFF of course. It hasn't got to do with any dram timing. But with the bus timings generated by (S)GC! Which are not identical to the 68008. For example GC ch...
by Peter
Thu Feb 29, 2024 10:33 pm
Forum: Hardware
Topic: QL on MIST FPGA board
Replies: 111
Views: 66719

Re: QL on MIST FPGA board

On my MIST, in can isolate the symptom: This core only starts well and runs stable and hourly long, when my MIST was switched off for a longer time (a long night) [...] Do you have coolant spray? You could try to bring the FPGA to a lower temperature and see if it starts even after being warmed up ...
by Peter
Thu Feb 29, 2024 7:34 pm
Forum: General QL Chat
Topic: Software updates
Replies: 21
Views: 1213

Re: Software updates

Thanks for the tip! Ive never seen that part of the initialisation process as my Q68 is connected to the same monitor as my main PC and I cant switch inputs fast enough! However, I'll check it out if it happens again. Cant say Ive noticed it before; just after upgrading to 3.39 hence the alarm. We'...
by Peter
Wed Feb 28, 2024 12:07 pm
Forum: General QL Chat
Topic: SMSQ/E Executables
Replies: 17
Views: 661

Re: SMSQ/E Executables

On second thought, using MT.CJOB seems possible. TRNSP could be used only for data and the job header, while the code is in a previously allocated area, where it was loaded before calling MT.CJOB. MT.CJOB can optionally use this absolute start address. If I remember correctly, I already used this me...